基于Aurora协议的高速通信技术的研究
所属分类:技术论文
上传者:aet
文档大小:483 K
标签:FPGAAurora IP时钟补偿
所需积分:0分积分不够怎么办?
文档介绍:介绍了基于模块化方法在FPGA上实现高速通信的设计方案。系统在Aurora协议下采用高速串行收发器Rocket I/O,解决了不同端口收发时钟补偿带来的数据丢失问题,并结合SFP光模块对高速AD采样信号进行有效的传输。实验证明了方案的可行性,其对提高雷达信号处理带宽、改进雷达的探测性能具有较大的意义。
现在下载
VIP会员,AET专家下载不扣分;重复下载不扣分,本人上传资源不扣分。
Baidu
map