关于旁通电容作用的深度讨论
所属分类:教程|讲义
上传者:hathaway
文档大小:412 K
所需积分:0分积分不够怎么办?
文档介绍:旁路电容是关注度低、没有什么魅力的元器件,一般来说,在许多专题特写中不把它作为主题,但是,它对于成功、可靠和无差错的设计是关键。来自Intersil公司的作者David Ritter和Tamara Schmitz参加了关于该主题的进一步对话。本文是对话的第一部分。Dave和Tamara信仰辩论的价值、教育的价值以及谦虚地深入讨论核心问题的价值;简而言之,为了获取知识而展开对一个问题的讨论。
现在下载
VIP会员,AET专家下载不扣分;重复下载不扣分,本人上传资源不扣分。
Baidu
map