kaiyun官方注册
您所在的位置: 首页> 可编程逻辑> 业界动态> 基于Modelsim FLI接口的FPGA仿真技术

基于Modelsim FLI接口的FPGA仿真技术

2009-02-04
作者:胡军强 李津生 洪佩琳

摘 要:介绍了如何利用modelsim提供的FLI(Foreign Language Interface)接口对VHDL设计文件进行协同仿真,给出了协同仿真的意义以及协同仿真的程序结构和系统结构。

关键词:FPGA仿真软件协同仿真

1 Modelsim及FLI接口介绍

Modelsim是Model Technology(Mentor Graphics的子公司)的HDL硬件描述语言仿真软件,可以实现VHDL、Verilog以及VHDL-Verilog混合设计的仿真。除此之外,Modelsim还能够与C语言一起对HDL设计文件实现协同仿真。同时,相对于大多数的HDL仿真软件来说,Modelsim在仿真速度上也有明显优势。这些特点使Modelsim越来越受到EDA设计者、尤其是FPGA设计者的青睐。

Modelsim的FLI接口(即Foreign Language Interface)提供了C语言动态链接程序与仿真器的接口,可以通过C语言编程对设计文件进行辅助仿真。

2 协同仿真系统结构及意义

协同仿真就是利用仿真工具提供的外部接口,用其它程序设计语言(非HDL语言,如C语言等)编程,用辅助仿真工具进行仿真。Modelsim提供了与C语言的协同仿真接口。以Windows平台为例,用户可通过modelsim提供的C语言接口函数编程,生成动态链接库,由modelsim调用这些动态链接库进行辅助仿真,如图1所示。

Modelsim与C语言协同仿真,一是用于产生测试向量,避免手工编写测试向量的繁琐;二是可以根据程序计算结果自动检查仿真结果正确与否;三是模拟其它模块(如RAM)的功能,在系统级对设计文件仿真。实践中一般是把一和二结合在一起,用程序产生仿真向量,一方面输出给设计文件作为输入,另一方面由程序本身对该向量计算,把得到的结果与仿真器的输出结果比较,检查逻辑是否正确,如图2所示。至于模拟功能,现在已经有一些通用芯片的模拟程序,如denali可以模拟RAM的功能。另外,用户也可以利用modelsim提供的编程接口自己模拟一些芯片的行为,然后与设计文件连接到一起仿真。

3 C语言对VHDL设计文件的协同仿真

3.1 构成框图

仿真文件的构成如图3所示,包括HDL文件和动态链接库(即C程序)。图中C程序对应的VHDL文件要负责声明对应的动态链接库文件名及初始化函数,另外还可以给出一些调用参数。动态链接库中用到的输入输出信号也要在对应的VHDL文件中声明。

例如,假定有一个DLL文件名为sim.dll, 对应的初始化函数为sim_init,有输入信号in1、in2,输出信号out1、out2,可以这样编写对应的VHDL文件(sim.vhd):

library ieee;

use ieee.std_logic_1164.all;

entity sim is

port(

in1 : in std_logic;

in2 : in std_logic;

out1 : out std_logic;

out2 : out std_logic;

);

end entity sim;

architecture dll of sim is

attribute foreign : string;

attribute foreign of dll : architecture is ″sim_init sim.dll″

begin

end;

仿真时,仿真器对顶层的HDL文件进行仿真,并根据各VHDL文件的动态链接库声明来调用、执行相应的动态链接库。

3.2 动态链接库的程序结构

利用modelsim仿真时,可根据VHDL文件的声明,调用DLL文件(如sim.dll)。在VHDL文件中已经给出了调用文件(sim.dll)和初始化函数名(如sim_init),modelsim根据这些信息,调用sim.dll中的sim_init函数,完成初始化工作。初始化包括:

(1)初始化全局变量;

(2)设置VHDL输入输出信号与C程序变量的对应关系;

(3)设置输出信号的一些初始状态(mti_ScheduleDriver);

(4)设置在仿真器重新仿真(restart)和仿真器退出仿真(quit)等情况下执行的一些函数(mti_AddRestartCB和mti_AddQuitCB等),如释放动态申请的内存等;

(5)设置敏感表,给出在某些信号发生某些变化(如时钟上升沿等)时执行的函数。

(6)其它。

结合3.1节的例子(sim.vhd),给出C程序的设计步骤如下:

(1)包含头文件,包括C程序常用的一些头文件和modelsim给出的外部语言接口头文件mti.h。Modelsim给出的外部接口函数说明、类型定义等都在mti.h中。

(2)定义自己的结构体,这一点主要是为了编程方便,例如输入输出信号对应的变量在各函数中基本上都会用到,可以把这些变量定义成一个结构,便于参数传递。例如,可以把3.1节的sim.vhd输入输出信号对应的变量定义成结构:

typedef struct {

driverID out1;

driverID out2;

signalID in1;

signalID in2;

}PortStruct;

其中,driverID表示输出信号对应的变量;signalID表示输入信号对应的变量。这样,这里定义的变量out1、out2、in1、in2就分别与sim.vhd中的信号out1、out2、in1、in2相对应。

(3)编写初始化函数

初始化函数的定义为:

init_func(mtiRegionIdT region, char *param, mtiInterfaceListT *generics, mtiInterfaceListT *ports)

各参数的含义可以参阅modelsim用户手册。

下面结合上面给出的初始化函数要完成的任务进行详细说明。

a.初始化全局变量(略)

b.设置VHDL输入输出信号与C程序变量的对应关系。这是通过调用mti_FindPort函数实现的。mti_FindPort函数定义为:

mtiSignalIdT mti_FindPort(mtiInterfaceListT *list, char *name);

例如,定义输入输出信号对应的结构为ip:

PortStruct ip;

就可以用:

ip.in1=mti_FindPort(ports, “in1”);

来实现输入信号in1与变量in1的对应关系。

对输出信号来说,它的目的是产生驱动。因此,这些变量(out1和out2)除了要找到对应的输出信号外,还要驱动这些信号。对信号的驱动可以通过调用mti_CreateDriver函数来实现。该函数的定义为:

mtiDriverIdT mti_CreateDriver(mtiSignalIdT sig);

由于这些变量一般只用于对外驱动,因此可以简单写成下面的形式:

ip.out1 = mti_CreateDriver(mti_FindPort(ports,“out1”));

c. 调用mti_ScheduleDriver函数,设置输出信号的初始状态。mti_ScheduleDriver函数的定义为:

void mti_ScheduleDriver(mtiDriverIdT driver, long value, mtiDelayT delay, mtiDriverModeT mode);

其中,driver是输出信号对应的变量名,如ip.out1和ip.out2;value是要设置(驱动)的值,如高电平(‘1’,对应value为3)、低电平(‘0’,对应value为2)、高阻(‘Z’,对应value为4)、未赋值(‘U’,对应value为0)等等;delay是从当前时间开始到把信号驱动成给定值(value)的等待时间,单位与仿真器当前使用的最小时间单位相同;mode为信号模式,有两个值可供选择:MTI_INERTIAL或者是MTI_TRANSPORT,分别对应于标准VHDL语言的INERTIAL和TRANSPORT。例如,设置信号out1的初始状态为低电平:

mti_ScheduleDriver(ip.out1, 2, 0, MTI_INERTIAL);

d. 设置在仿真器重新仿真(运行命令restart)或退出仿真(运行命令quit -sim)等情况下调用的函数。这一部分主要是为了释放内存或者保存当前状态等。以restart为例,假设在程序中用malloc申请了存储空间buf,在仿真器“restart”时需要释放,就可以用以下的函数调用来注册:

mti_AddRestartCB(free, buf);

注册后,当仿真器运行命令restart时就会调用free(buf)。

其它一些函数可以参照modelsim的用户手册,这里不再详述。

e.设置敏感表,给出在某些信号发生某些变化时(如时钟上升沿等)执行的函数。例如,在输入信号in1发生变化时,要执行函数in1_change(in1_change为用户定义好的函数),可以这样定义:

processID proc;

proc=mti_CreateProcess(“P_in1change”, in1_change, &ip);

mti_Sensitize(proc, ip.in1, MTI_EVENT);

也就是说,先创建进程,然后设置敏感表。当满足敏感表的条件时,仿真器就会执行该进程。mti_CreateProcess函数的定义为:

mtiProcessIdT mti_CreateProcess(char *name, mtiVoidFuncPtrT func, void *param);

其中,name是将要在仿真器窗口中显示的名称;func是要执行的函数;后面的param是要传给func的参数。mti_Sensitize的定义为:

void mti_Sensitize(mtiProcessIdT proc, mtiSignalIdT sig,mtiProcessTriggerT when);

其中,proc为调用mti_CreateProcess的返回值;sig为信号名,即VHDL文件的输入输出信号对应于C程序的变量;when可以取MTI_EVENT或者MTI_ACTIVE两种值。

3.3 C程序的编译

对Windows平台,采用的编译器是Microsoft Visual C++,并用如下的命令行进行编译:

cl -c -I modeltechinclude app.c

link -dll -export: app.obj modeltechwin32mtipli.lib

上面的 是modelsim的安装目录, 是C程序的初始化函数名,如我们给出的sim.c中的sim_init。编译之后就可以生成.dll文件。

仿真向量是用C语言还是用HDL直接产生,要视设计者的应用而定,选取最简单的方式。在大多数情况下,用C语言和HDL联合生成测试向量会更方便些。

参考文献

1 [美]Kevin Skahill编著,朱明程,孙普译.可编程逻辑系统的VHDL设计技术.东南大学出版社,1998

本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306116;邮箱:aet@chinaaet.com。
Baidu
map