kaiyun官方注册
您所在的位置:首页 > 可编程逻辑 > 业界动态 > 中芯国际和新思科技携手推出参考设计流程4.0

中芯国际和新思科技携手推出参考设计流程4.0

2009-06-26
作者:新思科技公司

   全球领先的开云棋牌官网在线客服设计、验证和制造软件及知识产权(IP)供应商新思科技公司与中国内地最大的芯片代工企业中芯国际集成电路制造有限公司日前宣布,将携手推出全新的65纳米RTL-to-GDSII参考设计流程4.0(Reference Flow 4.0)。作为新思科技专业化服务部与中芯国际共同开发的成果,该参考流程中增加了 Synopsys Eclypse 低功耗解决方案及IC Compiler Zroute布线技术,为设计人员解决更精细工艺节点中遇到的低功耗和可制造性设计(DFM)等问题提供更多的可用资源。这样,客户可迅速获得优化的途径到中芯国际65纳米制程的投片,从而满足苛刻的项目时间要求。

 

   参考设计流程4.0利用了新思科技Eclypse低功耗解决方案的关键组成部分Galaxy?实施平台,从而使设计人员能够在包括RTL综合与测试、物理实现与验收阶段在内的整个设计流程中,实施先进的低功耗技术。此外,整个参考流程中还采用了IC Compiler的Zroute布线技术,该技术使用先进的布线算法对制造规则的影响、时序以及其他设计目标进行评估,因而能支持中芯国际的65纳米布线规则。集成的Zroute 通过为特定芯片的设计制定出设计规划、面积、功率和信号完整性(SI)等目标,使可制造性设计(DFM)的优化技术更加合理。


   通过采用经由中芯国际内部开发的 CCS 标准单元库、SRAM、PLL、输入/输出库和低功耗单元库,本参考设计流程得到了验证。验证中采用了分别具备电源闸控(Power Gating)和数据保持能力的多电源电压(multiple -VDD)和多电源模块。该流程的其他关键特性还包括:利用 IC Compiler及可测试性设计技术(DFT) 结合支持可自动生成全速(at-speed)测试的片上时钟控制功能实现的多种参数特性多重模式(Multi-Corner Multi-Mode,MCMM)优化及关键面积分析与压缩。


  “中芯国际的65纳米逻辑制程要求一个能够解决时序、漏电和可制造性设计等关键性问题的流程,以减少风险并提高设计结果质量。”中芯国际设计服务中心副总裁欧阳雄表示,“我们通过与新思科技密切合作,再次为我们双方的客户推出全新解决方案,使他们能够同时受益于我们两家公司的领先技术。随着我们即将向研制更先进的工艺节点技术迈进,我们期待能够与新思科技继续保持合作关系。”


  “新思科技与我们的开云棋牌官网在线客服代工合作伙伴密切合作,旨在加快客户的设计进入量产的过程,”新思科技营销与战略联盟副总裁Rich Goldman 表示,“我们与中芯国际携手为IC设计团队提供一个切实可行的参考流程,使之利用新思科技的低功耗和DFM优化技术,加快其以实现中芯国际65纳米制程技术为目的的片上系统的设计进程。”


产品获取


   中芯国际-新思科技参考流程4.0( SMIC-Synopsys Reference Flow 4.0)目前已投入使用。欲了解更多信息,敬请联系您的中芯国际客户代表或发送邮件至 Design_Services@smics.com。

本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306116;邮箱:aet@chinaaet.com。
Baidu
map