zzuxzt

Modelsim独立仿真最新教程

2
阅读(3632)

说起来,玩FPGA也有个把年头了,但每次都是在quartus II里调用modelsim进行仿真的,为图个省事,一直都在忍受它带来的无比巨慢的编译连接速度。如今,实在是忍受不了,便狠了狠心,学习了一下modelsim独立仿真,突然发现也是很简单很随意啊,而且那速度杠杠的。

纵观了网上大大小小的教程和一些文本书籍,发现都是千遍一律的,可问题是modelsim的版本太新了,按照那些教程照部就搬就是死活不出波形。那些教程给的版本是modelsim-6.x的,而我的却是modelsim-10.x的,幸好在某网友博客里看到,可以看看modelsim自带的help文档,于是我就拜读了一下全英文的文档,然后完美的出现仿真波形了。果然,还是自带的英文原版文档可靠,大家都可以去阅读一番,下面给出下载地址:http://download.csdn.net/detail/zzuxzt/8581343

Modelsim自带文档里给了好几种仿真的方法,下面我只介绍最简单直接的方法。

一.GUI界面的仿真步骤

1.首先把你需要仿真的设计文本和仿真文本全部放在同一个文件夹下面,注意,文件夹路径不能包含中文。

我的设计文件:counter.v

//Copyright 1991-2013 Mentor Graphics Corporation////All Rights Reserved.////THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF//MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.//`timescale 1ns/1nsmodulecounter (count, clk, reset);output[7:0] count;inputclk, reset;reg[7:0] count;parametertpd_reset_to_count =3;parametertpd_clk_to_count =2;function[7:0] increment;input[7:0] val;reg[3:0] i;regcarry;beginincrement=val; carry=1'b1;/** Exit this loop when carry == zero, OR all bits processed*/for(i =4'b0; ((carry == 4'b1) && (i <=7)); i = i+4'b1)beginincrement[i]= val[i] ^carry; carry= val[i] &carry;endendendfunctionalways@ (posedgeclkorposedgereset)if(reset) count= #tpd_reset_to_count8'h00;elsecount<=#tpd_clk_to_count increment(count);/***************************************************************** Use the following block to make the design synthesizable. always @ (posedge clk or posedge reset) if (reset) count = 8'h00; else count <= count + 8'h01; ******************************************************************/endmodule

我的仿真文件:tcounter.v

////Copyright 1991-2013 Mentor Graphics Corporation////All Rights Reserved.////THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF//MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.//`timescale 1ns/1nsmoduletest_counter;regclk, reset;wire[7:0] count; counter dut (count, clk, reset);initial//Clock generatorbeginclk=0;forever#10clk = !clk;endinitial//Test stimulusbeginreset=0; #5reset =1; #4reset =0;endinitial$monitor($stime,, reset,, clk,,, count);endmodule

2.打开modelsim软件,选择“File > Change Directory”,把目录改为我们在步骤1所创建的文件夹下,如下图所示:

wps_clip_image-22628

3.选择“File > New > Library”,创建一个新的工作库,如下图所示:

wps_clip_image-20143

wps_clip_image-21625

4.选择“Compile > Compile”,编译我们的设计文件和仿真文件,如下图所示:

wps_clip_image-3856

wps_clip_image-10648

5.最关键的步骤来了,那些老教程就是缺少这步骤中的关键操作,而导致没有波形输出:可视化并加载我们的设计工程,如下图所示:

wps_clip_image-12421

wps_clip_image-14305

wps_clip_image-11860

6.选择软件右下方的“sim”,再鼠标右击,选择“AddTo > Wave > All items in region”,添加观测信号给波形窗口,如下图所示:

wps_clip_image-17049

7.选择软件左下方“wave”,运行仿真,如下图所示:

wps_clip_image-1883

wps_clip_image-15121

以上就是GUI界面的仿真步骤了。

二.脚本方式的仿真步骤

我个人是不喜欢上述的GUI界面的仿真步骤,太罗嗦了,我喜欢更简单直接的脚本方式的仿真步骤,几行指令即可完成仿真。

下面就是几条主要指令,具体实现,大家还是看modelsim自带英语文档吧。

vlib work //建库

vmap work work //映射

vlog counter.v tcounter.v //编译文本,此指令需要建立project才能运行

vopt +acc test_counter -o testcounter_opt //可视化module

vsim testcounter_opt //加载工程

add wave * //添加观测信号

run -all //运行全部

Baidu
map