xzy610030

一起探讨,一起进步,一起分享!

【读书笔记】--modelsim添加编译altera仿真库

0
阅读(5287)

Modelsim添加altera仿真库

之前用quartus的时候都市altera-modelsim,在用xilinx的时候装个个modelsim SE版,感觉很不错,是时候抛弃altera-modelsim了。

Xilinx仿真库编译之前博客有记录:http://blog.chinaaet.com/detail/35533

现在添加altera的仿真库,和xilinx的过程有点区别。

参考:http://www.eetop.cn/blog/html/04/466104-14155.html

1.首先,把modelsim.ini只读属性勾掉,保证其可写。

clip_image002

2.打开modelsim,切换到modelsim的安装目录

clip_image003

clip_image005

3.然后,File-new-library,建立altera_lib,其实和之前xilinx差不错,这里

clip_image007

然后,你就在安装目录下可以看到(其实这些都可以用脚本来做的,类似于linux的命令一样)

clip_image009

4.然后就是在modelsim的环境下对altera库文件进行编译了。Compile-Compile

找到目录D:\altera\11.1sp2\quartus\eda\sim_lib,这个是altera仿真库的目录。我吧全部的.v文件都选择了编译

clip_image011

编译中:在modelsim中的过程,截图没有截全

clip_image012

编译完后,那个界面有个done,点击就可以退出了,上面两个图中的那个done,然后我们可以在altera_lib这个文件夹中看看是不是有生成的文件了。

clip_image014

Ok,编译就结束了,然后,修改modelsim.ini配置文件

将altera_lib = altera_lib

修改为altera_lib = $MODEL_TECH/../altera_lib

clip_image016

然后保存,勾上只读属性,重启modelsim,会看到:

clip_image018

这样就ok了,当然我还测试了一下,就是没有修改modelsim.ini文件的时候altera_lib = altera_lib而不是altera_lib = $MODEL_TECH/../altera_lib,那里是Unavailable

接下来就可以愉快的玩耍了、

Baidu
map