XU.J.P

利用matlab进行ROM初始化mif文件方法

工具使用版本:QuartusII13.0+Matlab2012d撰写人:Strive_JP关于FPGA中ROM初始化,最近学会了利用matlab强大的数据处理能力来初始化ROM当中的数据。先简单介绍下FPGA内部的RAM。这里为了简单起见,以EP4CE10为例,先来看下资源情况。EP4CE10内部有414Kbits

FPGA的一些书和资料

最近调试线阵CCD的电路,很多问题难以理解透彻,让自己很憋屈,设计的线路自我感觉没什么问题,示波器测试的驱动波形,输出,采样输出基本已经出来。突然想起,自己不知道如何进行后期处理,如线阵CCD如何出图像,数据如何分析等等。还需要进一步在摸索中前

奇葩的综合结果,FPGA还是有劣势的啊。。。

最近在看一本书,很不错的书,《VerilogHDL高级数字设计(第二版)》,英文名字是<AdvancedDigitalDesignwiththeVerilogHDL>。这本书详细介绍了verilogHDL在搭建电路方面的一些思路。个人感觉写的真心不错。但是今早在看第九

我的第一篇博文------FPGA学习历程规划

不知不觉,FPGA学习已经一年多了。以前搞过Freescale,搞过单片机,也做过layout,但总感觉不踏实,我的方向何在,我的未来到底如何定位。考完研之后,老板主动联系我,让我搞软件,搞SAR图像,卧槽,这尼玛毫无兴趣,不仅如此,总感觉甚至浪费我的时间和天
Baidu
map