米客-显示驱动专家

【再说FPGA】Modelsim简单脚本的设计

#my_dual_ram_tb为testbench文件;#创建及映射库#新建工作目录vlibwork#将新建库映射到当前工作目录vmapworkwork#编译文件及设置测试覆盖率,bcesxf即:(branchconditionexpressionstatement..)vlog-workworkmy_dual_ram.v-coverbcesxfvlog-workworkmy_dual_ram_tb.v-co
Baidu
map