米客-显示驱动专家

【再说FPGA】Modelsim简单脚本的设计

0
阅读(2420)

#my_dual_ram_tb为testbench文件;

#创建及映射库
#新建工作目录
vlib work
#将新建库映射到当前工作目录
vmap work work

#编译文件及设置测试覆盖率,bcesxf即:(branch condition expression statement..)
vlog -work work my_dual_ram.v -cover bcesxf
vlog -work work my_dual_ram_tb.v -cover bcesxf
#编译某个路径下的可能要用到的文件
#vlog -work work "d:/../"

#仿真开始
#测试覆盖率
vsim -coverage my_dual_ram_tb -L altera_mf
#仿真测试文件,my_dual_ram_tb为要测试的testbench,-L后面跟的是要用到的库文件
#本例中是altera库文件,如果用到多个库文件可以继续添加-L lib**,在用此之前,要
#确保这些库文件在modelsim中已经被编译过了
#-novopt是不进行优化,不然不能看到波形
#\表示换行
#-pli D:/my_debbusy/share/PLI/modelsim_pli/WINNT/novas.dll
#调用debussy函数,确保fsdb相关函数能用
vsim my_dual_ram_tb -L altera_mf -novopt \
-pli D:/my_debbusy/share/PLI/modelsim_pli/WINNT/novas.dll

#添加所有信号,下面的*也可以换成具体的某根信号
add wave *
#查看波形
view wave
#可以在testbench中initial begin后添加
#$fsdbDumpfile("yourwave.fsdb");
#$fsdbDumpvars;
#供debbusy工具查看波形

#仿真参数设置
run 1ms
#变量显示格式
radix unsigned

Baidu
map