w_k_j

always中的if执行条件的优先级

0
阅读(1754)

在一个always语句中,如果出现不同级的优先级判断时,一般大家采用if........else if........else.....等,这种思维通常是软件编程的思想。对于FPGA编程时,我们一定要考虑综合后的电路。如果用过多的判断,或造成时序上的一些问题。因此,我通常我们采用以下方法:将优先级最高的放在最下面,其次是次级,最低优先级放在最上面。当下面的符合条件,上面的便不在执行。当下面的不合符条件,便执行上面的。

例如:以太网包的类型判断

Baidu
map