hiram

关于PicoBlaze 读操作的一个问题的讨论(二)

Technorati标签:PicoBlaze,Bram,ReadOperation问题起初是这样的,PicoBlaze读取板卡的4Bit的SWITCHes,然后把这个状态值当做ROM的读地址输入,把读取的8Bit的值显示在LEDs上.当时我的输入输出端口是这样定义的:moduleread_rom(inputwireclk,reset,inputwire[3:0]sw,outputw
Baidu
map