hiram

关于PicoBlaze 读操作的一个问题的讨论(二)

Technorati标签:PicoBlaze,Bram,ReadOperation问题起初是这样的,PicoBlaze读取板卡的4Bit的SWITCHes,然后把这个状态值当做ROM的读地址输入,把读取的8Bit的值显示在LEDs上.当时我的输入输出端口是这样定义的:moduleread_rom(inputwireclk,reset,inputwire[3:0]sw,outputw

关于PicoBlaze 读操作的一个问题的讨论(一)

今天一个下午都在这个问题上挣扎,令PicoBlaze读取四个switches的状态值,显示在LCD上,但是无论怎么改变键值,始终读回来的值是0xF,这说明没有读回来的值是错误的。造成错误的原因无非有两个,一个psm软件程序的问题
Baidu
map