riple

Stay Hungry, Stay Foolish.

《SystemVerilog验证方法学》中文版首发式

昨天上午去参观了Synopsys春季技术研讨会的开幕式。由于工作原因,听完了开幕式,拿到了讲座文稿就匆匆回到班上了。在开幕式上,有一项特殊的内容:VMMforSystemVerilog中译本的首发式。VMM就是VerificationMethodologyManual。会上见到了夏宇闻老先生和该书中译本的翻

TimeQuest就一定要搞定——取值为负数的建立时间

在前面的一篇文章中,给出了建立时间检查的基本公式:1)寄存器-寄存器(Register-to-Register)路径检查:ClockSetupSlack=DataRequiredTime–DataArrivalTimeDataArrivalTime=LaunchEdge+ClockNetworkDelaySourceRegister+μtco+Register-to-RegisterDelayData

非常有用的Virtual JTAG——比串口还好用

今天加了一会儿班,把节前调通的网络接口芯片操作程序整理了一下。这个Tcl程序用于控制一个VirtualJTAG接口,通过VirtualJTAG给FPGA输入控制信号,通过FPGA内部的逻辑产生网络接口芯片的控制波形,实现对网络接口芯片的读写操作。通过一段时间的调试,当前这个Tcl程序已

TimeQuest就一定要搞定——时序分析基本公式

以下内容译自QuartusIIVersion7.0Handbook,Volume3:Verification的6-28:ClockAnalysis部分。TimeQuest静态时序分析的对象包括:寄存器和寄存器之间的路径、I/O之间、I/O和寄存器之间的路径、异步复位和寄存器之间的路径。TimeQuest根据DataArrivalTime和DataRequired

原来龙芯,国产的。

今天看到博友的一篇文章:原来龙芯,国产的吗?我感到有话要说。写这段话,不是为了指责他人,也不是为了挑起什么争论。我是计算所的员工,我不是龙芯课题组的。我认识一些龙芯课题组的工作人员,我也见过胡伟武老师,听过他的课;我认识一些意法开云棋牌官网在线客服的员工,他们就在

TimeQuest就一定要搞定——时序分析基本概念

以下内容译自QuartusIIVersion7.0Handbook,Volume3:Verification的6-13:TimingAnalysisOverview部分。TimeQuest需要读入布局布线后的网表才能进行时序分析。读入的网表是由以下一系列的基本单元构成的:1.Cells:Altera器件中的基本结构单元。LE可以看作是Cell

TimeQuest就一定要搞定——为什么一定要搞定

最近一段时间以来一直在尝试使用TimeQuest。胡乱配置了一通,屡屡失败。于是下定决心,从基本概念开始,力争把TimeQuest这个简化版的PrimeTime搞定。时序分析在ASIC设计中的重要性毋须多说(我也不甚了解)。在FPGA设计中,很少进行细致全面的时序约束和分析,Fmax是最

双向总线的HDL描述--从图形开始

双向总线=三态输出总线+输入总线仔细观察一下这个公式,再结合HDL语言对公式右边的两项分别描述一下,双向总线的描述就搞定了。许多书上都给出过三态输出总线的描述方法,但是在实际中,双向总线往往更常用一些:FPGA和外部存储器件连接的情况、FPGA和外部CPU连接的情况

ATA协议的新发展——ATA体系结构模型和一些想法

“穿新鞋,走老路”似乎是含有贬义的,但是ATA协议在发展过程中,因为脱掉了“旧鞋”,不但“老路”越走越宽了,甚至可能走上新路。在ATA-7协议之前,ATA协议等同于PATA协议;从ATA-7开始,到去年年底发布的ATA-8协议,ATA协议中加入了SA

答avan的“一个关于硬盘接口的问题”

今天偶然在avan老哥的博客里看到了这样一篇文章:一个关于硬盘接口的问题。这个问题前些天我也碰到过,在学习IDE协议时也看到过相关问题的说明,只是每次看到的时候都因为“更重要”的问题而把它忽略了。看到avan老哥能够不耻下问,我也按捺不住,决定一探究

JTAG协议学习笔记--远程边界扫描测试的实现

在最新一期EDNChina上看到了JTAGTechnologies的一篇文章,详细介绍了远程边界扫描测试(Remoteboundary-scantests)的实现方法。这篇文章给了我以下几点启发:1.远程JTAG是可行的。2.远程JTAG可以通过复用产品电路板上的通讯接口,以增加很少的成本为代价添加到现

Denali,一个不错的公司,一些不错的想法

DenaliSoftware,Inc.LeadingIPSolutionsforSoCDesignandVerification前些天Denali的几个工程师专门到我们实验室来拜访了一下,起因是我在他们网站上申请了试用版的软件。同事们说,终于轮到我们当甲方了。这些工程师确实是很敬业的。和我们交流了一个上午,其中一个工程

QuartusII命令行操作--如何建立可移植的工程

使用Altera公司PLD器件的朋友对QuartusII的GUI界面操作已经很熟悉了,对于这个集成开发环境的强大功能也有了体会。可是不知大家有没有这样的经历,在自己机器上编译得很好的工程,到了别的机器上就编译不过去了,更危险的是编译通过了,下载后却有问题。这种问题在使用

QuartusII设置的管理--如何备份和转移设置内容

由于PLD器件的复杂性,EDA工具的功能和操作也相应的复杂起来。以QuartusII为例,每一个单独的功能和操作都对应着多个设置选项。通常可以选择缺省项,但是针对具体的应用环境,往往需要设置个性化的配置。在QuartusII的使用过程中,经常会遇到需要备份或转移某个工程的设

QuartusII文件的管理--如何建立一个最小工程

QuartusII是一款功能强大的EDA软件。在这个集成开发环境中,PLD使用者可以完成编辑、编译、仿真、综合、布局布线、时序分析、生成编程文件、编程等全套PLD开发流程。QuartusII以工程(Project)为单位管理文件。保证了设计文件的独立性和完整性。由于QuartusII功
Baidu
map