riple

Stay Hungry, Stay Foolish.

学习Modelsim的命令(一)

0
阅读(17517)

vsim -c -f xxxx.do

从命令行运行modelsim,执行xxxx.do中的编译、仿真命令。

vcom -work work -f file_list.txt

在编译VHDL库文件时,指定一个文件作为编译的补充选项。该文件中可以包含vcom的命令选项,还可以包含一系列的文件路径。在本例 中,file_list.txt就包含了所有需要编译到work library中的文件路径。通过file_list.txt,可以使Modelsim与其他EDA工具共享同一组文件列表,有助于实现自动化的测试流 程。

vcom -work bin_lib -refresh

不通过VHDL源代码,通过已编译的库生成当前版本可用的库。这一命令常用于编译从第三方获得的仿真库。第三方的仿真库通常可以在器件厂商的网站上 获得,比如samsung的nand flash芯片。提供仿真库的网站,往往不提供行为级的源代码。由于第三方提供的仿真库可能是采用其他版本的Modelsim编译获得的,必须通过执行改 命令,该仿真库才可以被正确加载。

transcript file abcde.log

记录命令窗口的打印信息到abcde.log文件中。可以通过echo "xxxx"命令,从命令窗口向log文件中写入记录信息。通过log文件,可以实现仿真结果的记录和自动检查,有助于编写自动化的测试流程。

vsim -t 10ps work.xxxx

针对xxxx运行仿真,仿真精度设定为10 ps。这一命令在VHDL仿真中很有用,因为VHDL文件中没有Verilog的`timescale编译指令,只能通过在仿真开始时指定。过小的仿真精 度会导致仿真速度变慢,过大的仿真精度会得到不精确甚至不正确的仿真结果。

verror 32

打印出编号为32的信息的详细内容。通过该命令,可以查看warning或error的具体信息。其作用相当于在线帮助。执行verror -ranges可以查看Modelsim所有信息的分类和编号。

Baidu
map