mdykj33

按键消抖的原理和基于fpga的消抖设计_明德扬资料

0
阅读(1266)

按键消抖

工程说明

在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。

案例补充说明

在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后的设计工作中需要注意的。

至简设计法--按键消抖.rar


Baidu
map