一水寒

VHDL代码练习之全加器

在学习VHDL,写的小代码贴上来吧,备忘。先写一位全加器,然后利用一位全加器搭成四位全加器。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityfull_add_1isport(a:instd_logic;b:instd_logic;cin:instd_log
Baidu
map