amazing icecream

vivado中dcp的使用

0
阅读(15927)

Vivado相比与ISE的一个进步就在于整个软件是一个整体,而不像ISE,分为多个独立的软件进行协同工作。

能体现这一点的一个地方,就是Vivadocheckpoint,简称dcp

project流程中,Vivado会自动保存dcp,同时对于大多数IP CoreVivado也会自动生成dcp如果是在non-project流程中,Vivado需要手动输入命令才能生成dcp,不过,阅读完本文之后,也许您会觉得这样更好。

dcp本身其实就是一个压缩文件,使用常用的zipwinrar压缩工具都可以打开该文件。文件中保存了设计中的所有信息。使用Vivado可以直接打开。

这样做的好处有:

1.便于提交设计,ISE时代需要移交很多不同的文件,而Vivado只需要一个文件。无论是提交结果还是提交设计用于分析,都大大方便了用户来使用。

2.便于分析,独立的dcp文件包含了完整的设计信息,便于存档,及单独打开进行分析。

3.同一个工程如果需要进行不同的处分析,可以使用dcp进行,而不需要将整个工程复制多次,即拖慢了Vivado的加载速度,也消耗了多余的硬盘空间

non-project流程中,可以随时使用脚本生成dcp文件,便于分析。比如,综合后,布局后,布线后生成三个dcp,用来比较三个设计阶段的不同,一来可以尽早的发现设计中的状态与问题,二来也能通过该操作,对Vivado工具的流程有更好的理解。

dcp的另外一个用途,分析IP CoreVivado中,不少IP Core也是用dcp来提交给顶层设计的。使用解压缩文件打开dcp,可以看到具体IP中的设计文件(虽然不一定可读)和相关的约束文件,有助于进一步理解IP的使用。

另外,由于dcp包含了完整的设计信息,所以可以直接提交给顶层设计,相比于ISE使用HDL wrapper+NGC网表的方法,也便捷许多。

Baidu
map