特权同学

BJ-EPM CPLD入门套件VHDL例程3

--Filename﹕SW_DEBOUNCE.vhd--Author﹕wuhouhang--Description﹕三个独立按键控制四个LED流水灯工作/停止或者左移/右移libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsig

BJ-EPM CPLD入门套件VHDL例程2

BJ-EPM套件:http://item.taobao.com/item.htm?id=6733842901&--Filename﹕SW_DEBOUNCE.vhd--Author﹕wuhouhang--Description﹕三个独立按键控制LED灯亮灭libraryIEEE;useIEEE.std_logic_1164.all;use
Baidu
map