特权同学

Clock Specification—— Clock Groups

0
阅读(5020)

Quartus II Handbook, Volume 3 6-37

时钟集合Clock Groups

设计中存在着许多时钟, 然而,并非所有时钟都相互关联,况且某些时钟间相互关联是没有必要的。异步时钟就是不相关时钟(异步时钟有不同的理想时钟源)。专用时钟也不一定在同一时 刻激活(例如,多元时钟)。必须向Quartus II TimeQuest Timing Analyzer指明相互排斥的时钟, 从而阻止其把这些时钟作为关联时钟进行分析。

使用set_clock_groups命令指明相互排斥或者异步的时钟。Example 6–12 展示了set_clock_groups命令及选项。

Example 6–12. set_clock_groups Command

set_clock_groups

[-asynchronous | -exclusive]

-group

[-group ]

[-group ] ...

Table 6–10 describes the options for the set_clock_groups command.

选项

描述

-asynchronous

异步时钟——两个时钟没有相位关系并且不在同一时刻激活

-exclusive

专有时钟——两个时钟中仅有一个在特定时间激活。2选1时钟就是专有时钟的一 个实例

-group

指定相互独有的时钟名。用于指定时钟名

Example 6–13展示了set_clock_groups命令和等价命令set_false_path的用法。

Example 6–13. set_clock_groups Example

# Clocks A and C are never active when clocks B and D are active

set_clock_groups -exclusive -group {A C} -group {B D}

# Equivalent specification using false paths

set_false_path -from [get_clocks A] -to [get_clocks B]

set_false_path -from [get_clocks A] -to [get_clocks D]

set_false_path -from [get_clocks C] -to [get_clocks B]

set_false_path -from [get_clocks C] -to [get_clocks D]

set_false_path -from [get_clocks B] -to [get_clocks A]

set_false_path -from [get_clocks B] -to [get_clocks C]

set_false_path -from [get_clocks D] -to [get_clocks A]

set_false_path -from [get_clocks D] -to [get_clocks C]

Baidu
map