特权同学

Clock Specification——Automatic Clock Detection

0
阅读(3589)

Quartus II Handbook, Volume 3 6-34

自动时钟检测Automatic Clock Detection

想要为你的设计中所有的时钟节点自动添加时钟约束,那么就使用derive_clocks命令。这个命令从管脚或者寄存器生成时钟以确保设计中的每个寄存器都有时 钟。

Example 6–9 展示了derive_clocks命令选项。

Example 6–9. derive_clocks Command

derive_clocks

[-period ]

[-waveform ]

Table 6–8 describes the options for the derive_clocks command.

选项

描述

-period

指定时钟周期,你也可 以指定时钟频率如下:-period MHz

-waveform

指定沿变化时刻

derive_clocks命令不能为PLLs输出时钟进行约束。

derive_clocks命令相当于使用create_clock命令为每个寄存器或者管脚产生时钟。

Baidu
map