特权同学

BJ-EPM CPLD入门套件VHDL例程3

0
阅读(2501)


-- Filename ﹕ SW_DEBOUNCE.vhd
-- Author ﹕ wuhouhang
-- Description ﹕ 三个独立按键控制四个LED流水灯工作/停止或者左移/右移

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity JOHNSON is
port(
Clk: in STD_LOGIC; --50MHz输入时钟
Rst_n: in STD_LOGIC; --低电平复位信号
Key_in: in STD_LOGIC_VECTOR (2 downto 0); --三个独立按键,低表示按下
--Key_in(2): 控制流水灯工作/停止
--Key_in(1): 控制流水灯右移
--Key_in(0): 控制流水灯左移
Led_out: buffer STD_LOGIC_VECTOR (3 downto 0) --发光二极管,分别由按键控制
);
end entity JOHNSON;
--20ms按键消抖处理
--消抖后按键下降沿检测
--按键控制LED控制位状态
--LED显示控制
architecture KEY_CONTROL_OF_LED of JOHNSON is
signal key_inr0: STD_LOGIC_VECTOR (2 downto 0); --第一拍按键锁存寄存器
signal key_inr1: STD_LOGIC_VECTOR (2 downto 0); --第二拍按键锁存寄存器
signal key_posedge: STD_LOGIC_VECTOR (2 downto 0); --按键上升沿标志位,高电平有效一个时钟周期
signal key_negedge: STD_LOGIC_VECTOR (2 downto 0); --按键下降沿标志位,高电平有效一个时钟周期
signal cnt20ms: STD_LOGIC_VECTOR (19 downto 0); --20ms计数寄存器
signal key_value: STD_LOGIC_VECTOR (2 downto 0); --消抖后的键值锁存寄存器
signal key_valuer0: STD_LOGIC_VECTOR (2 downto 0); --消抖后第一拍按键锁存寄存器
signal key_valuer1: STD_LOGIC_VECTOR (2 downto 0); --消抖后第二拍按键锁存寄存器
signal key_valueneg: STD_LOGIC_VECTOR (2 downto 0); --消抖后按键下降沿标志位,高电平有效一个时钟周期
signal led_display: STD_LOGIC; --LED流水灯工作,1--开启,0--停止
signal led_direction: STD_LOGIC; --LED流水灯方向,1--右移,0--左移
signal cnt320ms: STD_LOGIC_VECTOR (23 downto 0); --320ms计数寄存器
begin
--第一拍按键锁存
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
key_inr0 <= "111";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
key_inr0 <= Key_in; --锁存上一拍键值
end if;
end process;
--第二拍按键锁存
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
key_inr1 <= "111";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
key_inr1 <= key_inr0; --锁存上一拍键值
end if;
end process;
--按键边沿检测
key_posedge <= (NOT key_inr1) AND key_inr0; --按键上升沿标志位,高电平有效一个时钟周期
key_negedge <= key_inr1 AND (NOT key_inr0); --按键下降沿标志位,高电平有效一个时钟周期
--20ms计数
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
cnt20ms <= x"00000";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if ((key_posedge /= "000") OR (key_negedge /= "000")) then --键值边沿标志位复位计数器,此处理目的为消除抖动
cnt20ms <= x"00000";
elsif (cnt20ms < 10#1000000#) then --20ms计数
cnt20ms <= cnt20ms+1;
else
cnt20ms <= x"00000";
end if;
end if;
end process;
--消抖后键值锁存
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
key_value <= "111";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if (cnt20ms = 10#1000000#) then --计数值到20ms
key_value <= key_inr1; --锁存键值
end if;
end if;
end process;
--消抖后第一拍按键锁存
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
key_valuer0 <= "111";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
key_valuer0 <= key_value; --锁存上一拍键值
end if;
end process;
--消抖后第二拍按键锁存
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
key_valuer1 <= "111";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
key_valuer1 <= key_valuer0; --锁存上一拍键值
end if;
end process;
--消抖后按键下降沿检测
key_valueneg <= key_valuer1 AND (NOT key_valuer0); --消抖后按键下降沿标志位,高电平有效一个时钟周期
--按键控制LED控制位状态(流水灯工作/停止)
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
led_display <= '0';
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if (key_valueneg(2) = '1') then --控制流水灯工作/停止
led_display <= NOT led_display; --锁存键值
end if;
end if;
end process;
--按键控制LED控制位状态(流水灯左移/右移)
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
led_direction <= '0';
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if (key_valueneg(1) = '1') then --控制流水灯工作/停止
led_direction <= '1'; --锁存键值
elsif (key_valueneg(0) = '1') then --控制流水灯工作/停止
led_direction <= '0'; --锁存键值
end if;
end if;
end process;
--320ms计数
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
cnt320ms <= x"000000";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if (cnt320ms < 10#16000000#) then --320ms计数
cnt320ms <= cnt320ms+1;
else
cnt320ms <= x"000000";
end if;
end if;
end process;
--LED显示控制
process(Clk,Rst_n)
begin
if (Rst_n = '0') then --异步复位
Led_out <= "0001";
elsif (Clk'event AND Clk = '1') then --时钟上升沿
if (cnt320ms = 10#16000000# AND led_display = '1') then --每320ms做一次LED移位判断
if (led_direction = '1') then --右移
Led_out <= Led_out(0) & Led_out(3) & Led_out(2) & Led_out(1);
--Led_out <= Led_out SLA 1;
else --左移
Led_out <= Led_out(2) & Led_out(1) & Led_out(0) & Led_out(3);
--Led_out <= Led_out SRA 1;
end if;
end if;
end if;
end process;
end architecture KEY_CONTROL_OF_LED;
Baidu
map