hu_li

Vivado入门与提高学习记录 第一讲

0
阅读(3382)

1Vivado设计流程及使用模式

一、ISEVivado在设计流程上的不同

以上为ISE的设计流程。每一步都需要不同的文件模型作为输入。

以上为Vivado的设计流程,可以看到Vivado在整个设计流程中采用统一的文件格式。流程中粉红色标记的过程是必须,且会生成相应的dcp文件。

VIvadoRTL级设计输入的来源可以是HLSsystem_generatorIP用户自己编写的RTL代码等,而且都可以封装成IP放到IP Catalog中,所以可以说Vivado设计是以IP为核心的。

二、DCPDesignCheckPoint)文件

当前设计所需的所有数据都会保存在DCP文件中。包括逻辑网表、约束、以及物理数据。

三、Vivado的设计数据库

Vivado在设计流程中是共享数据库,这个数据库就是DCP文件,只是不同过程中不同DCP文件

所用到的网表文件会不一样。

四、Vivado的两种设计模式

Vivado支持不同的设计输入,不同类型的输入会放到不同的文件夹下(比如约束文件XDC文件放在XDC文件夹下)。模式可以为Project Mode,和Non-project Mode,前者支持GUI用户界面操作和Tcl脚本来管理设计流程,后者只支持Tcl脚本。

五、工程数据

在用Project Mode进行设计时,Vivado会生成4个文件夹,其中_runs文件夹中存放的是综合和实现过程中所生成的文件,-srcs文件夹中存放的是所有HDL代码、网表、以及约束XDC文件

六、两种模式的优势:



Baidu
map