lemonHe

主要关注FPGA信号处理和数字图像处理技术,欢迎交流 邮箱:heliminlemon@163.com

中值滤波matlab及FPGA实现

中值滤波是一种统计排序滤波器,它使用一个像素领域中的灰度级的中值来代替该像素的值,对于某些类型的随机噪声,中值滤波可提供良好的去噪能力,且比相同尺寸的线性平滑滤波器带来的模糊更少。对于单极性或者双极性脉冲噪声,中值滤波尤其有效,因此...
Baidu
map