最新博文

0
推荐
2364
阅读

《爱上FPCA开发——特权和你一起学NIOSⅡ》电子版下载

下载地址:http://pan.baidu.com/s/1i39XSY5编辑推荐《爱上FPCA开发——特权和你一起学NIOSⅡ》结合一款基于Altera公司CyclorleII系列FPGA的开发板,从一些嵌入式开发的基本术语和概念入手,到手把手第一个工程的构建:再从一个稳定的SOPC平台设计,到NIOSI
0
推荐
1391
阅读

《爱上FPCA开发——特权和你一起学NIOSⅡ》电子版下载

下载地址:http://pan.baidu.com/s/1i39XSY5编辑推荐《爱上FPCA开发——特权和你一起学NIOSⅡ》结合一款基于Altera公司CyclorleII系列FPGA的开发板,从一些嵌入式开发的基本术语和概念入手,到手把手第一个工程的构建:再从一个稳定的SOPC平台设计,到NIOSI
0
推荐
2027
阅读

FPGA入门:基本开发流程概述

FPGA入门:基本开发流程概述本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt在第一章中,已经给出了FPGA/CPLD的基本开发流程图。这里不妨回顾一下,如图5.15所示。这个流程图是一个相对比
0
推荐
1994
阅读

FPGA入门:内里本质探索——器件结构

FPGA入门:内里本质探索——器件结构本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt在第一章里,我们已经讨论了FPGA/CPLD
0
推荐
2565
阅读

FPGA入门:表面现象揭秘——逻辑关系

FPGA入门:表面现象揭秘——逻辑关系本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt神奇的0和1,缘何能够如此的变化多端?
0
推荐
3181
阅读

FPGA入门:Verilog/VHDL语法学习的经验之谈

FPGA入门:Verilog/VHDL语法学习的经验之谈本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0btFPGA/CPLD器件的设计输入有很多
0
推荐
2140
阅读

FPGA入门:Quartus II的安装

FPGA入门:QuartusII的安装本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt接下来我们找到前面软件工具的下载保存路径,首
0
推荐
2076
阅读

FPGA入门:ModelSim的安装

FPGA入门:ModelSim的安装本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt接下来我们要分别安装已经下载好的可执行文件12.0
0
推荐
1622
阅读

FPGA入门:0和1——精彩世界由此开始

FPGA入门:0和1——精彩世界由此开始本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt在今天这个科技发展日新月异的时代,互联网的推波助
0
推荐
1299
阅读

FPGA入门:ModelSim的安装

FPGA入门:ModelSim的安装本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt接下来我们要分别安装已经下载好的可执行文件12.0
0
推荐
1349
阅读

FPGA入门:Quartus II的安装

FPGA入门:QuartusII的安装本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt接下来我们找到前面软件工具的下载保存路径,首
0
推荐
3592
阅读

锁相环的fpga实现

参考《全国大学生电子设计竞赛教程--基于TI器件的设计方法》P248页modulebit_syn_extraction(inputclk,inputcode_in,outputbs_out);wireclk_1;wiresig_div_out;wired_inst45;wirecode_diff_out;sig_diffsig_diff_inst(.code_in(code_in),//inputcode_in_sig
0
推荐
2772
阅读

特权同学2015年新书《FPGA设计实战演练(逻辑篇)》例程下载

特权同学2015年新书《FPGA设计实战演练(逻辑篇)》23个经典例程下载链接:http://pan.baidu.com/s/1pJ5bCtt目录第1章概念扫盲1.1FPGA简单入门1.2FPGA应用领域1.3FPGA的优势1.4开发流程思考第2章逻辑设计
0
推荐
1393
阅读

FPGA入门:内里本质探索——器件结构

FPGA入门:内里本质探索——器件结构本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt在第一章里,我们已经讨论了FPGA/CPLD
0
推荐
2444
阅读

FPGA入门:表面现象揭秘——逻辑关系

FPGA入门:表面现象揭秘——逻辑关系本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt神奇的0和1,缘何能够如此的变化多端?
Baidu
map