最新博文

0
推荐
1518
阅读

例说FPGA连载76:FX2与FPGA之streamIN模块代码解析

例说FPGA连载76:FX2与FPGA之streamIN模块代码解析特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 该模块的功能框图如图14.29所示。FX2读...
0
推荐
2708
阅读

FPGA管脚介绍

管脚是FPGA重要的资源之一,FPGA的管脚分别包括,电源管脚,普通I/O,配置管脚,时钟专用输入管脚GCLK等。(1)电源管脚:  通常来说: FPGA内部的电压包括内核电压和I/O电压。  1.内核电压:即FPGA内部逻辑的供电。通常会...
0
推荐
1575
阅读

例说FPGA连载75:FX2与FPGA之SignalTap II与功能概述

例说FPGA连载75:FX2与FPGA之SignalTap II与功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc FPGA和FX2芯片之间的SlaveF...
0
推荐
5363
阅读

Labview利用Winpcap实现以太网底层通信(二)

前文提要:经过前面的那么多准备,需要的资源都已经有了,那么现在就是设计自己需要的一个程序了。我的需求很简单,通过Labview收发自定义的以太网帧数据。那么之后的设计都是为了达到这个目的,在设计的东西之前,先分析下我们前面准备的东西能达到什...
0
推荐
5969
阅读

Labview利用Winpcap实现以太网底层通信(一)

平时忙的时候在忙工作,不忙的时候就看一些书籍,学一些东西,真正沉下心思来写一些东西的时间现在已经越来越少了。得益于从去年年底开始了用Wiznote写一些笔记,把一些自己日常所学、所用的东西以笔记的形式记录下来,不仅仅可以针对一个大的...
0
推荐
1545
阅读

例说FPGA连载74:FX2与FPGA之功能概述

例说FPGA连载74:FX2与FPGA之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 本实例有4个工程,一个8051 MCU的工程,位于例程的“…\p...
0
推荐
1473
阅读

基于至简设计法的数字时钟设计

基于至简设计法的数字时钟设计明德扬科技教育有限公司 官 网:www.mdy-edu.com淘 宝:mdy-edu.taobao.comQQ 群:97925396 至简设计法数字时钟视频链接:http://www.mdy-edu....
1
推荐
2223
阅读

例说FPGA连载73:FX2 bulkloop实例之板级调试

特权同学例说FPGA连载73:FX2 bulkloop实例之板级调试。这里,我们要使用一个现有的bulkloop例程来进行...
0
推荐
1324
阅读

例说FPGA连载72:FX2 bulkloop实例之功能概述

例说FPGA连载72:FX2 bulkloop实例之功能概述特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc FX2(CY7C68013)是一款集成8051单片机...
1
推荐
3511
阅读

例说FPGA连载71:AV视频采集之YCbCr转RGB实现

例说FPGA连载71:AV视频采集之YCbCr转RGB实现特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 该模块的内部功能框图如图12.40所示。YCrCb输入视频流经过该模块内...
2
推荐
5850
阅读

FPGA 需要怎样的编程语言来做HLS?

《FPGA 何去何从》中我提到FPGA 更高级别的设计方式,姑且就叫HLSL(High Level Synthesis Language)吧,区别与Xilinx的 HLS。本文我就聊聊什么样的编程语言更合适用来做HLS,C,Pyth...
0
推荐
1323
阅读

EDN007 FPGA入门:基本开发流程概述

FPGA入门:基本开发流程概述 本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt 在第一章中,已经...
0
推荐
1405
阅读

EDN004 FPGA入门:Verilog VHDL语法学习的经验之谈

FPGA入门:Verilog/VHDL语法学习的经验之谈 本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt ...
0
推荐
1427
阅读

EDN003 FPGA入门:内里本质探索——器件结构

FPGA入门:内里本质探索——器件结构 本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt 在第一章...
0
推荐
1520
阅读

EDN002 FPGA入门:表面现象揭秘——逻辑关系

FPGA入门:表面现象揭秘——逻辑关系 本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问网盘:http://pan.baidu.com/s/1bndF0bt 神奇的0...
Baidu
map