湘攸客

使用In-System Sources&Probes进行调试

0
阅读(2279)

之前写过如何使用QII中In-System Memory Content Editor,今天使用了一下In-System Sources&Probes,总结一下使用体会。

顾名思义,在系统源和探测器主要包含两部分,一是驱动源,二是探测器。经由Jtag口该工具通过探测器(Probes)最多可以观察256个信号的状态, 通过源(source)可以最多驱动256个信号源。与SignalTapII或者ISMC一样可以在Instance Manger中例化多个Instance,根据器件的资源多寡,最多可以例化128个Instance。

与SignalTapII一样,无需外部测试设备支持,连接Jtag即可,不过该工具同时支持FPGA和CPLD。使用In-System Sources& Probes可以进行设计的功能调试。

应用场合:

1、可以利用该工具输入虚拟的拨码、按钮等控制信号,甚至虚拟复位信号;当系统还不完整的时候利用该工具可以模拟众多的输入激励。

2、监测设计更改后的变化结果。

3、扩展了Tcl的某些应用,比如动态配置等。

4、可以给SignalTapII强加某些Trigger。

使用In-System Sources & Probes的步骤:

1、首先利用MegaWizard创建In-System Sources & Probes Megafunction;

2、在设计中例化并编译;

3、下载到器件;

4、创建并使用In-System Sources & Probes Editor(.spf文件)来控制“sources”和“Probes”。

下面图示各个步骤:

1、创建In-System Sources & Probes Megafunction

该兆核函数位于Jtag-accessible Extensions下

兆核函数的主要参数设置:


兆核函数高级选项设置:


2、在设计中例化,下面是一个实例:

component sources_probes
port
(
probe : in std_logic_vector (13 downto 0);
source : out std_logic
);
end component;

-------------------------------------------------------------------------
sources_probes_inst : sources_probes port map (
probe => one_seg_sig & ten_seg_sig,
source => source_sig_reset_n);

3、下载就不必介绍了

4、创建In-System Sources & Probes Editor

在Tools菜单下,和ISMC以及SiganlTapII的位置在一起,启动Editor如下所示:

下图是Instance Manager:


每个源可以通过鼠标单击改变,可以从0到1或者从1到0改变,如下图所示:


Baidu
map